一、“超越摩爾定律”,先進(jìn)封裝崛起
1.1 Chiplet:“后摩爾時(shí)代”半導(dǎo)體技術(shù)發(fā)展重要方向后摩爾時(shí)代經(jīng)濟(jì)效能提升出現(xiàn)瓶頸,Chiplet 技術(shù)應(yīng)運(yùn)而生。隨著半導(dǎo)體制程節(jié)點(diǎn)的持續(xù) 演進(jìn),短溝道效應(yīng)以及量子隧穿效應(yīng)帶來(lái)的發(fā)熱、漏電等問(wèn)題愈發(fā)嚴(yán)重,追求經(jīng)濟(jì)效能 的摩爾定律日趨放緩。在此背景下,產(chǎn)業(yè)開(kāi)始思考將不同工藝的模塊化芯片,像拼接樂(lè) 高積木一樣的方式用先進(jìn)封裝技術(shù)整合在一起,成為一個(gè)異構(gòu)集成芯片,在提升性能的 同時(shí)實(shí)現(xiàn)低成本和高良率,這就是芯粒(Chiplet)技術(shù)。 Chiplet 的概念源于 Marvell 創(chuàng)始人周秀文博士在 ISSCC 2015 上提出的 Mochi(Modular Chip,模塊化芯片)架構(gòu),伴隨著 AMD 第一個(gè)將小芯片架構(gòu)引入其最初的 Epyc 處理器 Naples,Chiplet 技術(shù)快速發(fā)展。2022 年 3 月,Chiplet 的高速互聯(lián)標(biāo)準(zhǔn)——UCIe(Universal Chiplet Interconnect Express,通用芯?;ヂ?lián)技術(shù))正式推出,旨在芯片封裝層面確立互 聯(lián)互通的統(tǒng)一標(biāo)準(zhǔn)。
顯著降本優(yōu)勢(shì)延續(xù)摩爾定律。Chiplet 技術(shù)迅速發(fā)展的原因得益于其在降低成本并提升芯 片性能方面的獨(dú)特優(yōu)勢(shì),主要體現(xiàn)在以下幾個(gè)方面:1) 小面積設(shè)計(jì)提升芯片良率:傳統(tǒng)的良率模型假設(shè)缺陷在晶圓上隨機(jī)散布,并且芯片 上任何地方的缺陷都會(huì)使其無(wú)法使用,所以大面積芯片比小面積芯片更可能包含缺 陷,造成芯片良率與芯片面積直接相關(guān)。一般來(lái)說(shuō),裸芯(Die)的面積越小,在缺 陷概率一定的情況下,整體的良率就越高。2) 更低能耗更高性能:在速度方面,采取 3D 封裝技術(shù)的 chiplet 縮短了線路傳輸距離, 指令的響應(yīng)速度得到大幅提升,寄生性電容和電感也得以降低,此外,用更多更密 集的 I/O 接點(diǎn)數(shù),電路密度提升即提高功率密度。3D 封裝由于采用更細(xì)小、更密集 的電路,信號(hào)傳輸不需要過(guò)多的電信號(hào),從而功耗也會(huì)相應(yīng)降低。3) IP 快速?gòu)?fù)用降低設(shè)計(jì)成本和復(fù)雜度,有助于產(chǎn)品快速迭代:隨著先進(jìn)工藝的不斷推 進(jìn),基于越先進(jìn)的工藝來(lái)設(shè)計(jì)芯片,其面臨的復(fù)雜度和設(shè)計(jì)難度也將大幅提升,同時(shí)設(shè)計(jì)成本也將直線上升。如果在芯片設(shè)計(jì)階段,就將大規(guī)模的 SoC 按照不同的功 能模塊分解為一個(gè)個(gè)的芯粒,那么部分芯粒則可以做到類(lèi)似模塊化的設(shè)計(jì),而且可 以重復(fù)運(yùn)用在不同的芯片產(chǎn)品當(dāng)中。這樣可以極大降低芯片設(shè)計(jì)的難度和設(shè)計(jì)成本, 同時(shí)也有利于后續(xù)產(chǎn)品的迭代,加速產(chǎn)品的上市周期。例如,AMD 在第三代銳龍 (Ryzen)處理器上復(fù)用了第二代霄龍(EPYC)處理器的 IOChiplet,這種復(fù)用不但 可以將“老舊制程”生產(chǎn)的 Chiplet 繼續(xù)應(yīng)用到下一代產(chǎn)品中以節(jié)約成本,更能極大 地節(jié)約設(shè)計(jì)、驗(yàn)證和生產(chǎn)周期并降低失敗風(fēng)險(xiǎn)。

4) 針對(duì)性選取制程工藝降低制造成本:將 SoC 進(jìn)行 Chiplet 化之后,不同的芯??梢?根據(jù)需要選擇合適的工藝來(lái)分開(kāi)制造,然后再通過(guò)先進(jìn)封裝技術(shù)進(jìn)行組裝,不需要 全部都采用相同制程的工藝在一塊晶圓上進(jìn)行一體化制造,這樣可以極大地降低芯 片的制造成本。對(duì)于密集封裝的邏輯和存儲(chǔ)器,7nm 晶體管比 16nm 晶體管便宜, 但 I/O 接口通常具有模擬電路和其他無(wú)法從較小節(jié)點(diǎn)中受益的大型功能。因此,許 多小芯片設(shè)計(jì)將 I/O 功能隔離到在舊節(jié)點(diǎn)中制造的單獨(dú)芯片中。一些邏輯電路(例 如加速器)可能不需要以與主處理器相同的最大時(shí)鐘速率運(yùn)行,因此可以在中間節(jié) 點(diǎn)中制造,使用較舊的工藝技術(shù)可以將這些小芯片的制造成本降低多達(dá) 50%。先進(jìn)制程及超大芯片最受益 Chiplet 技術(shù)。綜合考慮以上幾點(diǎn)優(yōu)勢(shì),The Linley Group 對(duì) Chiplet 技術(shù)的經(jīng)濟(jì)效益進(jìn)行過(guò)模擬分析,其案例對(duì)比中包括一個(gè)幾乎沒(méi)有冗余面積 的大芯片(600mm2,80%有效面積)和一個(gè)大的有機(jī) BGA 封裝(60mm×60mm)被分 成四個(gè)相同的小芯片。摩爾定律減緩帶來(lái)了小芯片的設(shè)計(jì)需求,性能提升、成本降低以及大芯片的缺陷問(wèn)題是 Chiplet 設(shè)計(jì)成為趨勢(shì)的三大推動(dòng)因素??傮w來(lái)說(shuō),Chiplet 是“后摩爾時(shí)代”半導(dǎo)體技術(shù) 發(fā)展重要方向,國(guó)外各大廠商持續(xù)布局,且均已形成一定規(guī)模和應(yīng)用。據(jù) Omdia 數(shù)據(jù), 2018 年全球 Chiplet 市場(chǎng)規(guī)模約為 8 億美元,預(yù)計(jì)未來(lái)隨著行業(yè)的不斷發(fā)展,Chiplet 市 場(chǎng)規(guī)模有望迎來(lái)加速增長(zhǎng)。先進(jìn)封裝市場(chǎng)有望實(shí)現(xiàn)高增長(zhǎng)。先進(jìn)封裝是實(shí)現(xiàn) Chiplet 的重要方式,根據(jù) Yole,2021 年全球先進(jìn)封裝市場(chǎng)規(guī)模 374 億美金,到 2027 年有望達(dá)到 650 億美金,2021-2027CAGR 10%。從整個(gè)封裝行業(yè)的占比來(lái)看,先進(jìn)封裝有望在 2027 年超過(guò) 50%,即超過(guò) 傳統(tǒng)封裝的市場(chǎng)規(guī)模。先進(jìn)封裝中嵌埋式、2.5D/3D、倒裝技術(shù)都將實(shí)現(xiàn)高復(fù)合增速。1.2 海外龍頭先進(jìn)封裝布局如火如荼AMD 多年來(lái)始終走在封裝技術(shù)革新前沿。AMD 于 2015 年在 GPU 市場(chǎng)推出高帶寬內(nèi)存 (HBM)和 2.5D 硅中介層技術(shù),引領(lǐng)業(yè)界以小尺寸獲得最佳內(nèi)存帶寬。在 2017 年引入 MCM 封裝技術(shù)。2019 年推出了業(yè)界首創(chuàng)的基于小芯片的技術(shù),在同一封裝內(nèi)對(duì)內(nèi)核和 IO 使用不同的工藝節(jié)點(diǎn),從而顯著提高性能和功能。2021 年宣布與臺(tái)積電合作開(kāi)發(fā) 3D Chiplet。 AMD 的 3D Chiplet 技術(shù)名為 3D V-Cache,實(shí)現(xiàn)的關(guān)鍵技術(shù)包括硅通孔(TSV)和混 合鍵合(Hybrid Bonding)。3D V-Cache 使得 AMD 能夠在 CPU 上堆疊緩存,首款采用 該技術(shù)的產(chǎn)品為 Ryzen 7 5800X3D。其中混合鍵合技術(shù)來(lái)自于臺(tái)積電的 SoIC,使用銅對(duì) 銅直接鍵合,沒(méi)有任何類(lèi)型的焊料凸點(diǎn)。因此其連接密度為 2D 封裝的 200 倍,互聯(lián)密 度是微凸塊(Micro Bump)的 15 倍,集成度大大提高。

臺(tái)積電入局先進(jìn)封裝,3DFabric 技術(shù)平臺(tái)勢(shì)頭正盛。臺(tái)積電于 2011 年開(kāi)始布局先進(jìn)封 裝,當(dāng)前其 3DFabric 包含前端 SoIC 技術(shù)和后端 CoWoS、InFO 封裝技術(shù)。前端芯片堆疊技術(shù),如 chip-on-wafer 和晶圓 wafer-on-wafer,統(tǒng)稱(chēng)為“SoIC”。 其特點(diǎn)是在不實(shí)用后段集成中的凸塊的情況下,將芯片堆疊在一起。SoIC 的設(shè)計(jì)實(shí)際上 是在創(chuàng)造鍵合界面,這樣芯片就可以直接疊在芯片上面。SoIC 是臺(tái)積電異構(gòu)小芯片封裝 的關(guān)鍵,具有高密度垂直堆疊性能,與 CoWoS 和 InFO 技術(shù)相比,SoIC 可以提供更高的 封裝密度和更小的鍵合間隔。此外,SoIC 還可以與 CoWoS/InFO 共用,基于 SoIC 的 CoWoS 或 InFO 封裝將會(huì)帶來(lái)更小的芯片尺寸,實(shí)現(xiàn)多個(gè)小芯片集成。 CoWoS 發(fā)展勢(shì)頭不減,中介層迭代組合助推成本與性能兼具。臺(tái)積電的 CoWoS 平臺(tái)包 含 CoWoS-S/R/L,為高性能計(jì)算應(yīng)用提供最佳性能和最高集成密度,提供了廣泛的硅中 介層尺寸、HBM 數(shù)量和封裝尺寸。CoWoS-S 采用硅中介層,可以為高性能計(jì)算應(yīng)用提供 最佳的性能和最高的晶體管密度;CoWoS-R 利用 InFO 技術(shù),利用 RDL 中介層進(jìn)行互連, 更強(qiáng)調(diào)小芯片間的互連;CoWoS-L 結(jié)合了 CoWoS-S 和 InFO 技術(shù)的優(yōu)點(diǎn),使用夾層與 LSI(局部硅互連)芯片進(jìn)行互連,使用 RDL 層進(jìn)行電源和信號(hào)傳輸,提供了最靈活的集 成。英偉達(dá)、博通、谷歌、亞馬遜、NEC、AMD、賽靈思、Habana 等公司已廣泛采用 CoWoS 技術(shù)。臺(tái)積電的 InFO 技術(shù)使用 polyamide film 代替 CoWoS 中的硅中介層,從而降低成本和封 裝高度,這兩個(gè)因素都是其實(shí)現(xiàn)大規(guī)模應(yīng)用的重要條件。InFO 具有高密度的 RDL,適用 于移動(dòng)、高性能計(jì)算等需要高密度互連和性能的應(yīng)用。臺(tái)積電的 InFO 分為 InFO_PoP 和 InFO_oS,前者是行業(yè)中首款 3D 晶圓級(jí)扇出封裝,可應(yīng)用在移動(dòng)手機(jī)的 AP 和 DRAM 上; 后者具有更高密度的 RDL,可集成多個(gè)用于 5G 網(wǎng)絡(luò)的邏輯芯片。相對(duì)來(lái)說(shuō),CoWoS 的 性能更好,但成本較高;InFO 則采用 RDL 代替硅中介層,無(wú)須 TSV,性價(jià)比更高。

INTEL EMIB 引領(lǐng)低成本 2.5D 異構(gòu)封裝,F(xiàn)overos 提供高性能 3D 堆疊解決方案。英 特爾的嵌入式多管芯互聯(lián)橋接封裝技術(shù)(EMIB)是 2.5D 硅中介層的替代方案,異構(gòu)集 成模擬設(shè)備、內(nèi)存、CPU、ASIC 芯片以及單片 FPGA 架構(gòu),提供了更簡(jiǎn)單的制造流程、 更高的性能、更強(qiáng)的信號(hào)完整性以及更低的復(fù)雜性。Foveros 技術(shù)是高于 EMIB 技術(shù)的 3D 芯片堆疊技術(shù),利用晶圓級(jí)封裝能力,適用于小尺寸、低功率或有極端內(nèi)存帶寬要求 的情況,包含 Omni 和 Direct 兩代擴(kuò)展。2020 年英特爾發(fā)布的 Lakefield 芯片,是首款 基于 Foveros 3D 立體封裝技術(shù)的芯片,采用 1 個(gè)大核+4 個(gè)小核的混合 CPU 設(shè)計(jì)。Intel 預(yù)計(jì) Foveros Omni 技術(shù)將在 2023 年規(guī)模量產(chǎn)。三星目前主要的先進(jìn)封裝方案包括 I-Cube、X-Cube、R-Cube、H-Cube 四種。 a) Cube:2.5D 硅中介層技術(shù),可將邏輯設(shè)備水平連接到 HBM 模塊。根據(jù)硅中介層的 形式分為兩種組裝工藝:基板-芯片 CoS(Chip on Substrate)和晶圓-芯片 CoW(Chip on Wafer)。CoS 主要優(yōu)勢(shì)可以中間測(cè)試,中間測(cè)試可以避免在 HBM 模塊安裝之前 安裝任何無(wú)效的硅中介層或邏輯芯片。CoW 主要優(yōu)勢(shì)是尺寸更大,可以選用較大的 硅中介層。CoS 適用于開(kāi)發(fā)低成本的 2.5D 封裝方案,CoW 適用于多 HBM 模塊方案。 b) R-Cube:低成本 2.5D RDL 中介層技術(shù),通過(guò)高密度 RDL 將邏輯與邏輯、邏輯與 HBM 模塊連接,具有更快的周轉(zhuǎn)時(shí)間和更好的信號(hào)/電源完整性,設(shè)計(jì)靈活性較好。 c) H-Cube:2021 年 11 月最新推出的 2.5D 封裝解決方案,基板整合 ABF 和 HDI,用 于開(kāi)發(fā)大型和低成本的封裝。 d) X-Cube:2020 年 8 月推出的 3D 封裝方案,包括晶圓-芯片(CoW)、晶圓-晶圓 (WoW)和硅通孔(TSV)技術(shù),實(shí)現(xiàn)高密度高性能封裝。先進(jìn)封裝作為 Chiplet 的重要部分,其四大要素分別為 RDL(Re-distributed layer,重布 線層)、TSV(Through Silicon Via,硅通孔)、Bump(凸點(diǎn))和 Wafer(晶圓),RDL 起 到 XY 平面電氣延伸的作用,TSV 起到 Z 軸電氣延伸的作用,Bump 起到界面互聯(lián)和應(yīng)力 緩沖的作用,Wafer 作為集成電路的載體以及 RDL 和 TSV 的介質(zhì)和載體。接下來(lái)我們圍 繞這四大要素,討論關(guān)鍵工藝相關(guān)的設(shè)備、材料供應(yīng)鏈。
二、RDL 重布線——晶圓級(jí)封裝關(guān)鍵工藝
RDL(Re-distributed layer,重布線層)技術(shù)是晶圓級(jí)封裝關(guān)鍵技術(shù)。由于在設(shè)計(jì)芯 片時(shí)只有極少數(shù)芯片的 I/O 端口是按照面陣列形式來(lái)進(jìn)行設(shè)計(jì)的,因此需要重布線技術(shù), 在晶圓表面利用金屬層與介質(zhì)層形成相應(yīng)的金屬布線圖形,將原來(lái)設(shè)計(jì)的芯片線路焊盤(pán) 重新布線到新的、間距更寬的位置,使芯片能適用于更有效的封裝互連形式。RDL 可以 改變線路 I/O 端口原有的設(shè)計(jì),加大 I/O 端口間距,提供較大的凸塊焊接面積,減小基 板與元器件間的應(yīng)力,提高元器件的可靠性。此外封裝工藝 RDL 可取代部分芯片線路, 以縮短芯片開(kāi)發(fā)時(shí)間。
在晶圓級(jí)封裝中,RDL 是最為關(guān)鍵的技術(shù),通過(guò) RDL 將 IO Pad 進(jìn)行扇入 Fan-In 或者扇 出 Fan-Out,形成不同類(lèi)型的晶圓級(jí)封裝。在 2.5D IC 集成中,除了硅基板上的 TSV,RDL 同樣不可或缺,以臺(tái)積電 CoWoS-S 為例,其在中間層上下都布有寬間距的 RDL 層, 通過(guò) TIV(Through interposer Via)進(jìn)行信號(hào)和電氣傳遞,在高速傳輸中提供低損耗的 高頻信號(hào)。在 3D IC 集成中,對(duì)于上下堆疊是同一種芯片,通常 TSV 就可以直接完成電氣互聯(lián)功能 了,而堆疊上下如果是不同類(lèi)型芯片,則需要通過(guò) RDL 重布線層將上下層芯片的 IO 進(jìn) 行對(duì)準(zhǔn),從而完成電氣互聯(lián)。隨著工藝技術(shù)的發(fā)展,通過(guò) RDL 形成的金屬布線的線寬和 線間距也會(huì)越來(lái)越小,從而提供更高的互聯(lián)密度。 RDL 工藝流程:RDL 的制作方式包括電鍍、大馬士革、金屬蒸鍍+金屬剝除等,其中利 用前道晶圓制造里面的大馬士革原理的 RDL 工藝可以滿足低線寬/間距(Line/Space, L/S)的 RDL 結(jié)構(gòu)。
三、TSV 硅通孔——2.5D/3D 封裝關(guān)鍵工藝
由于當(dāng)前不同廠商集成技術(shù)路線存在差異,2.5D 封裝工藝和技術(shù)其實(shí)并沒(méi)有一個(gè)統(tǒng)一的 標(biāo)準(zhǔn),通??梢詫?2.5D 封裝理解為,多芯片之間通過(guò)中介層、硅橋、高密度 RDL 等方 式進(jìn)行互連的封裝方式。其核心包括 1)多芯片集成;2)互連部分引入高 I/O 密度的介 質(zhì)而不是在依靠載板上走線。
中介層是 2.5D 封裝關(guān)鍵特點(diǎn)之一。中介層用來(lái)連接多個(gè)芯片,目前中介層主要是硅基 材質(zhì)。DRAM 和 CPU、CPU、SoC 等芯片通過(guò)硅中介層實(shí)現(xiàn)高速的運(yùn)算和數(shù)據(jù)交流,降 低功耗,提升效率。常見(jiàn)的 2.5D 封裝技術(shù)在硅中介層有 TSV 集成,芯片通常通過(guò) MicroBump(微凸塊)和中介層相連接,作為中介層的硅基板采用 Bump 和基板相連, 硅基板表面通過(guò) RDL 布線,TSV 作為硅基板上下表面電氣連接的通道,這種 2.5D 集成 適合芯片規(guī)模比較大,引腳密度高的情況,芯片一般以 FlipChip 形式安裝在硅基板上。TSV 技術(shù)是 2.5D/3D 封裝的關(guān)鍵工藝之一。硅通孔技術(shù)(TSV,Through Silicon Via) 是通過(guò)在芯片和芯片之間、晶圓和晶圓之間制作垂直導(dǎo)通,實(shí)現(xiàn)芯片之間互連的技術(shù)。 TSV 技術(shù)通過(guò)銅、鎢和多晶硅等導(dǎo)電物質(zhì)的填充,實(shí)現(xiàn)硅通孔的垂直電氣互連。硅通孔 技術(shù)的優(yōu)勢(shì)是可以通過(guò)垂直互連減小互連長(zhǎng)度、信號(hào)延遲,降低電容、電感,實(shí)現(xiàn)芯片 間的低功耗、高速通訊,增加帶寬和實(shí)現(xiàn)器件集成的小型化。 Via-Middle 和 Via-Last 是較為常見(jiàn)的通孔方式。依據(jù) TSV 通孔生成的階段 TSV 工藝 可以分為:1)Via-First;2)Via-Middle;3)Via-Last。 1) Via-First 指的是 TSVs 在 FEOL 工藝(例如晶體管)之前制造。Via-First 由于是在 器件制造之前進(jìn)行通孔工藝,因此可以使用高溫工藝來(lái)制造絕緣層,其劣勢(shì)在于 填充通孔的材料受限,由于后續(xù)晶體管制造過(guò)程中會(huì)有高溫的環(huán)節(jié),此時(shí)如果填 充材料為銅的時(shí)候,銅會(huì)很容易擴(kuò)散到硅材料中。 2) Via-Middle 指的是 TSVs 在 FEOL 之后,BEOL(例如金屬層)之前制備,這種工 藝由于晶圓廠在設(shè)備能力方面具備優(yōu)勢(shì),晶圓廠通常也會(huì)制造,但也有部分 OSAT 廠商可以完成這一工藝。Via-Middle 的優(yōu)勢(shì)在于可以實(shí)現(xiàn)較小的 TSV 結(jié)構(gòu)間距, 再布線層通道阻塞小以及 TSV 結(jié)構(gòu)電阻也會(huì)較小,其劣勢(shì)主要在于它必須適合 產(chǎn)品器件性能要求這樣才不會(huì)干擾器件,并且也不會(huì)干擾相鄰的布線層。 3) Via-Last 指的是 TSVs 在 FEOL,MOL 和 BEOL 工藝之后制造 TSV,Via-Last(從 晶圓正面)的方式由于在刻蝕的時(shí)候除了刻蝕硅之外,還需刻蝕整個(gè)電介質(zhì)層, 以及會(huì)阻塞布線通道,因此較少被使用。Backside Via-Last 從晶圓背面進(jìn)行通孔, 可以簡(jiǎn)化工藝流程,背面后通孔工藝被廣泛用于圖像傳感器和 MEMS 器件。

TSV 工藝主要包括深硅刻蝕形成微孔,再進(jìn)行絕緣層、阻擋層、種子層的沉積,深孔填 充,退火,CMP 減薄,Pad 的制備疊加等工藝技術(shù)。1) 孔成型:孔成型的方式有激光打孔、干法刻蝕、濕法刻蝕多種。隨著 TSV 的空徑 減小、深寬比增加,基于深硅刻蝕(Deep Reactive Ion Etching,DRIE)的 Bosch 工藝是目前應(yīng)用最廣泛工藝。反應(yīng)離子刻蝕(Reactive Ion Etching,RIE)工藝 是采用物理轟擊和化學(xué)反應(yīng)雙重作用的刻蝕,Bosch 工藝通過(guò)刻蝕和保護(hù)兩個(gè)步 驟交替進(jìn)行來(lái)提高 TSV 的各向異性,保證 TSV 通孔的垂直度。 設(shè)備及材料:深硅刻蝕需要的設(shè)備是感應(yīng)耦合高密度等離子體干法刻蝕機(jī) (Inductively Coupled Plasma Etcher,ICP),深硅刻蝕的發(fā)展方向是精細(xì)深槽、 高深寬比微納通孔的高精度,目前全球主流的深硅刻蝕設(shè)備由應(yīng)用材料、泛林集 團(tuán)等廠商壟斷,目前國(guó)內(nèi)中微公司、北方華創(chuàng)等在這一領(lǐng)域進(jìn)步迅速。Bosch 工 藝過(guò)程中主要需要的氣體是氟基氣體,全球供應(yīng)商包括法液空、默克、林德等。2) 沉積絕緣層:TSV 孔內(nèi)絕緣層用于實(shí)現(xiàn)硅村底與孔內(nèi)傳輸通道的絕緣,防止 TSV 通孔之間漏電和串?dāng)_。TSV 孔內(nèi)絕緣層的質(zhì)量將直接影響 TSV 硅轉(zhuǎn)接板的信號(hào) 完整性和電源完整性,是保證 2.5D TSV 轉(zhuǎn)接板性能的關(guān)鍵工藝之一。在 TSV 孔 刻蝕和深孔清洗完畢后,在 TSV 孔壁沉積絕緣材料形成孔壁介質(zhì)絕緣層,孔壁 絕緣介質(zhì)層需要完全覆蓋 TSV 孔的內(nèi)壁和 TSV 硅轉(zhuǎn)接基板表面以達(dá)到良好的絕 緣性能。TSV 孔壁絕緣介質(zhì)材料選用無(wú)機(jī)介質(zhì)材料,如二氧化硅、氮化硅、或二 氧化硅和氮化硅構(gòu)成的復(fù)合材料。 設(shè)備:目前 TSV 孔壁無(wú)機(jī)絕緣介質(zhì)材料的常用制各方法包括 PECVD、SACVD、 ALD 和熱氧化法。PECVD 可以實(shí)現(xiàn)較低的沉積溫度,如 200℃以下,但對(duì)于孔徑 較小且深寬比較大的垂直 TSV 孔的孔璧合階覆蓋率不足;SACVD 的孔壁臺(tái)階覆 蓋率優(yōu)于 PECVD,但沉積溫度較高,通常在 400℃及以上;ALD 的孔壁臺(tái)階覆蓋 率能達(dá)到 80%以上,但沉積速度較慢;熱氧化法制備的二氧化硅層結(jié)構(gòu)致密、孔 壁臺(tái)階覆蓋率高,但熱氧化工藝溫度通常都在 1000℃以上,此外熱氧化工藝可 以在一爐中同時(shí)氧化多片,成本較低。海外 KLA(2019 年收購(gòu) Orbotech,Orbotech 2014 年并購(gòu) SPTS,SPTS 在先進(jìn)封裝領(lǐng)域的 PVD、CVD 設(shè)備領(lǐng)域綜合技術(shù)實(shí)力 領(lǐng)先)、應(yīng)用材料等供應(yīng)商技術(shù)領(lǐng)先,國(guó)內(nèi)拓荊科技等公司在這一領(lǐng)域進(jìn)展亮眼。3) 沉積阻擋層/種子層:在 2.5D TSV 中介層工藝中,一般使用銅作為 TSV 通孔內(nèi) 部金屬互聯(lián)材料。在電鍍銅填充 TSV 通孔前,需要在 TSV 孔內(nèi)制備電鍍阻擋/種 子層,一般選用 Ti、Ta、TiN、TaN 等材料。TSV 電鍍種子層起著與電鍍電極電 連接并實(shí)現(xiàn) TSV 孔填充的作用。 設(shè)備:通常用物理氣相沉積(PVD)法制作阻擋層和種子層絕緣層,為后續(xù)的銅 填充做好準(zhǔn)備。后續(xù)的電鍍銅填充要求 TSV 側(cè)壁和底部具有連續(xù)的阻擋層和種 子層。種子層的連續(xù)性和均勻性被認(rèn)為是 TSV 銅填充最重要的影響因素。根據(jù) 硅通孔的形狀、深寬比及沉積方法不同,種子層的特點(diǎn)也各有不同,種子層沉積 的厚度、均勻性和粘合強(qiáng)度是非常重要的指標(biāo)。海外 KLA(SPTS)同樣在先進(jìn)封 裝領(lǐng)域的 PVD 全球市占率較高,北方華創(chuàng)憑借強(qiáng)勁實(shí)力,國(guó)內(nèi)份額不斷提升。4) 電鍍填充工藝:TSV 深孔的填充技術(shù)是 3D 集成的關(guān)鍵技術(shù),也是難度較大的一 個(gè)環(huán)節(jié),TSV 填充效果直接關(guān)系到后續(xù)器件的電學(xué)性能和可靠性。從填充材料角 度,可以填充的材料包括銅、鎢、多晶硅等,目前電鍍銅工藝是主流的先進(jìn)封裝 中硅通孔填充材料。硅通孔電鍍銅工藝目前主要有大馬士革電鍍和掩模電鍍兩種。 設(shè)備:深孔金屬化電鍍?cè)O(shè)備用于新一代高頻組件高深寬比通孔填孔電鍍銅工藝, 解決高深寬比微孔內(nèi)的金屬化問(wèn)題,提高互聯(lián)孔的可靠性。由于電鍍銅在 TSV 工 藝中的重要性非常高,對(duì)設(shè)備的要求比較高,成熟的用于 TSV 填孔鍍銅的設(shè)備 價(jià)格昂貴。目前電鍍?cè)O(shè)備主要有德國(guó)安美特(Atotech,全球領(lǐng)先的化學(xué)品和電鍍 解決方案供應(yīng)商,2022 年正式被美國(guó) MKS Instruments 萬(wàn)機(jī)儀器收購(gòu)),東京電 子、Ebara、應(yīng)用材料、泛林集團(tuán)等廠商壟斷。電鍍液:在硅通孔電鍍中,大馬士革電鍍、掩模電鍍或其他電鍍方式所需的電鍍 液材料體系都基本相同。硅通孔電鍍液主要的成分包含電鍍?cè)海ɑ蚍Q(chēng)為基礎(chǔ)鍍 液)和添加劑。硅通孔電鍍液的主要作用是為硅通孔的電鍍填充提供充足的銅離 子和良好的電鍍環(huán)境,通過(guò)在電鍍液中加入各種添加劑可以改善硅通孔的電鍍質(zhì) 量,從而提高電鍍填充的效果。目前海外主要的硅通孔電鍍液材料供應(yīng)商包括陶 氏化學(xué)、樂(lè)思化學(xué)(Enthone Chemical)、上村(Uyemura)、安美特(Atotech)、 羅門(mén)哈斯(Rohm&haas)等,國(guó)內(nèi)上海新陽(yáng)在這一領(lǐng)域亦有突破。5) CMP(化學(xué)機(jī)械拋光)工藝和背面露頭工藝:由于 TSV 中介層還需要高密度多 層再布線,CMP 技術(shù)引入到 TSV 制程中,用于去除硅表面的二氧化硅介質(zhì)層、 阻擋層和種子層。TSV 背面露頭技術(shù)也是 2.5DTSV 轉(zhuǎn)接基板的關(guān)鍵工藝,包括 晶圓減薄、干/濕法刻蝕工藝。隨著晶圓厚度越來(lái)越小,散熱性提升,與此同時(shí) TSV 深度隨之減小,帶來(lái)互聯(lián)延遲和損耗的減少。然而晶圓厚度變薄的同時(shí),材 料內(nèi)部的應(yīng)力會(huì)隨著減薄工序的進(jìn)行而增大使得硅片產(chǎn)生翹曲、粗糙和斷裂等缺 陷。2.5D TSV 轉(zhuǎn)接基板背面減薄之后,一般還需要通過(guò)干法或者濕法刻蝕工藝, 從背面露出 TSV 銅柱,從而實(shí)現(xiàn)后續(xù)晶圓背面的電信號(hào)連接。 設(shè)備及材料:考慮應(yīng)力等問(wèn)題,目前業(yè)界多采用一體機(jī)的思路,將晶圓的磨削、 拋光、貼片等工序集合在一臺(tái)設(shè)備中。海外龍頭包括應(yīng)用材料、Ebara 等。材料 方面 CMP 材料全球主要供應(yīng)商包括陶氏、FujiFilm、卡博特等,國(guó)內(nèi)鼎龍股份、 安集科技已經(jīng)在 CMP 拋光墊、拋光液領(lǐng)域?qū)崿F(xiàn)國(guó)產(chǎn)化突破。TSV、FOWLP 等先 進(jìn)封裝技術(shù)帶來(lái)對(duì) CMP 步驟的增加,進(jìn)而增加了 CMP 耗材需求量。6) 晶圓減?。涸?via first 和 via middle 工藝中,晶圓表面平坦化后,還需要進(jìn)行晶 圓背面的減薄使 TSV 露出,via last 工藝中,晶圓在進(jìn)行 Bosch 刻蝕工藝前就會(huì) 進(jìn)行減薄。晶圓減薄的目的是使 TSV 露出,在晶圓級(jí)多層堆疊技術(shù)中,需要將多 片晶圓進(jìn)行堆疊鍵合,同時(shí)總厚度還必須滿足封裝設(shè)備的要求。目前較為先進(jìn)的多層堆疊使用的芯片厚度均在 100μm 以下。未來(lái)如果需要疊加更多層,芯片的 厚度需減薄至 25μm 甚至更小。傳統(tǒng)的晶圓減薄技術(shù)包括機(jī)械磨削、CMP 和濕 法腐蝕等。由于晶圓經(jīng)過(guò)減薄后容易產(chǎn)生變形或翹曲,目前業(yè)界主流的解決方案 是采用一體機(jī)的思路,將晶圓的磨削、拋光、保護(hù)膜去除和劃片膜粘貼等工序集 合在一臺(tái)設(shè)備內(nèi)。晶圓從始至終都被吸在真空吸盤(pán)上,始終保持平整狀態(tài),從而 防止了晶圓在工序間搬運(yùn)時(shí)產(chǎn)生變形或翹曲。 先進(jìn)封裝驅(qū)動(dòng) CVD 沉積、電鍍及濺射靶材市場(chǎng)持續(xù)增長(zhǎng)。根據(jù) TECHCET,2022 年濺射 靶材、ALD/CVD 前驅(qū)體和金屬化學(xué)品等沉積材料全球市場(chǎng)規(guī)模年接近 39 億美元,預(yù)計(jì) 2023 年超過(guò) 41 億美元,到 2026 年市場(chǎng)規(guī)模達(dá)到 47 億美金。其中 2022 年金屬電鍍市 場(chǎng)規(guī)模超過(guò) 11 億美金,預(yù)計(jì)到 2026 年將超過(guò) 13 億美金。市場(chǎng)規(guī)模的持續(xù)增長(zhǎng)主要得 益于使用 RDL、TSV 和銅凸點(diǎn)結(jié)構(gòu)的先進(jìn)封裝需求提升。

四、臨時(shí)鍵合——超薄晶圓支撐系統(tǒng)
超薄晶圓具有降低封裝整體厚度、增強(qiáng)散熱、增強(qiáng)電學(xué)性能、提高集成度等優(yōu)勢(shì),在先 進(jìn)封裝中被廣泛使用。根據(jù) Yole,2025 年全球超薄晶圓市場(chǎng)規(guī)模有望超過(guò) 1.35 億片(等 效 8 英寸)。然而由于超薄晶圓柔性較差且易碎,容易產(chǎn)生翹曲,需要一套支撐系統(tǒng)來(lái)防 止這些損傷。通常在封裝前使用某種特定的中間層材料,將超薄晶圓臨時(shí)鍵合到一個(gè)晶 圓載板上,這種工藝稱(chēng)為臨時(shí)鍵合工藝(Temporary Bonding)。按照工藝流程來(lái)分,目前主要有熱/機(jī)械滑移式臨時(shí)鍵合與解鍵合、熱/機(jī)械滑移式臨時(shí) 鍵合與解鍵合、激光式臨時(shí)鍵合與解鍵合三種工藝。其中激光臨時(shí)鍵合與解鍵合工藝最 大工藝溫度高,抗化學(xué)性好,是最新一代的臨時(shí)鍵合/解鍵合技術(shù)方案。臨時(shí)鍵合/解鍵合常見(jiàn)工藝流程:首先在臨時(shí)載板或功能晶圓上通過(guò)壓合、粘貼或旋涂等 方法制造一層中間層材料作為鍵合黏接劑,然后翻轉(zhuǎn)功能晶圓,使其正面與臨時(shí)載板對(duì) 準(zhǔn),然后將二者轉(zhuǎn)移至鍵合腔進(jìn)行鍵合,臨時(shí)鍵合完成后,對(duì)功能晶圓進(jìn)行減薄,一般 包括機(jī)械研磨、化學(xué)拋光等步驟。減薄后再進(jìn)行深硅刻蝕、擴(kuò)散阻擋層及種子層沉積、 電鍍、機(jī)械化學(xué)拋光、光刻、刻蝕、金屬化等背面加工,形成再布線層、TSV 等結(jié)構(gòu)。 最后可以采用不同方式的解鍵合工藝將功能晶圓與臨時(shí)載板分離,對(duì)二者分別進(jìn)行清洗后,將功能晶圓轉(zhuǎn)移到劃片膜或其他支撐系統(tǒng)中,以便進(jìn)行下一步工藝,臨時(shí)載板則可 以馬上進(jìn)行再次利用。在這一工藝流程中,僅添加了臨時(shí)鍵合機(jī)與解鍵合機(jī)兩臺(tái)設(shè)備, 其他步驟均可采用與標(biāo)準(zhǔn)晶圓制造相同的設(shè)備與工藝完成。目前全球臨時(shí)鍵合設(shè)備主要 供應(yīng)商有 EV Group、SUSS MicroTec 等公司。
根據(jù) Yole,2020 年“超越摩爾定律”相關(guān)的鍵合設(shè)備市場(chǎng)規(guī)模達(dá)到 17 億美金,預(yù)計(jì)到 2027 年將達(dá)到 28 億美金。其中 2020 年臨時(shí)鍵合設(shè)備市場(chǎng)規(guī)模為 1.13 億美金,預(yù)計(jì) 2027 年將達(dá)到 1.76 億美金,SUSS 在全球占據(jù)主導(dǎo)地位。臨時(shí)鍵合膠:是把功能晶圓和臨時(shí)載板黏接在一起的中間層材料。不同工藝對(duì)應(yīng)的臨時(shí) 鍵合在鍵合方法、鍵合工藝和材料選擇上有所不同。對(duì)于臨時(shí)鍵合膠的選擇,需要關(guān)注 熱穩(wěn)定性、化學(xué)穩(wěn)定性、粘接強(qiáng)度、機(jī)械穩(wěn)定性、均一性等因素。臨時(shí)鍵合膠的材料性 能主要是由基礎(chǔ)黏料的性質(zhì)決定的,因此基礎(chǔ)黏料的選擇至關(guān)重要。可用作基礎(chǔ)黏料的 高分子聚合物材料包括熱塑性樹(shù)脂、熱固性樹(shù)脂、光刻膠等。目前全球臨時(shí)鍵合膠產(chǎn)品主要有海外供應(yīng)商壟斷,主要有 Brewer Sciences 的 WaferBond 和 ZoneBond 系列產(chǎn)品、3M 的 LTHC 系列產(chǎn)品、DuPont 的 HD-3000 系列產(chǎn)品、Thin Materials 的 T-MAT 系列產(chǎn)品、Dow Corning 的 WL 系列產(chǎn)品、東京應(yīng)化工業(yè)株式會(huì)社 (TOK)的 Zero Newton 系列產(chǎn)品和 Dow Chemical 的 Cyclotene 系列產(chǎn)品。
五、微凸點(diǎn)、底部填充與混合鍵合技術(shù)
5.1 凸點(diǎn)技術(shù)——間距縮小、密度提升凸點(diǎn)作為封裝結(jié)構(gòu)中的重要一環(huán),為堆疊芯片及固定裝配提供所需的機(jī)械支撐,并實(shí)現(xiàn) 芯片與中介層,芯片與芯片間的電氣互連。凸點(diǎn)的發(fā)展趨勢(shì)是尺寸不斷縮小,從球柵陣 列焊球(Ball-Grid-Array Solder Ball,BGA ball),其直徑范圍通常在 0.25-0.76mm,到倒 裝凸點(diǎn)(Flip-Chip Solder Bump,F(xiàn)C Bump),也被稱(chēng)為可控塌陷芯片焊點(diǎn)(Controlled Callapse Chip Connection solder joint,C4 solder joint),其直徑范圍通常在 100-150μ m,再到微凸點(diǎn)(micro bump),其直徑可小至 2μm。微凸點(diǎn)可以通過(guò)光刻電鍍的方法 在整片晶圓上進(jìn)行大規(guī)模制備,生產(chǎn)效率高,并且降低批量封裝成本。按照凸點(diǎn)的結(jié)構(gòu), 微凸點(diǎn)可以分為焊料凸點(diǎn)、銅柱凸點(diǎn)和鍵合銅凸點(diǎn)。
焊料凸點(diǎn)是目前倒裝封裝互連凸點(diǎn)的主流選擇。焊料凸點(diǎn)(Solder Ball Bump,SBB)一 般為錫基的焊料形成的凸點(diǎn),材料成分包括純 Sn 及 Sn-Pb、Sn-Cu、Sn-Ag、Sn-Zn 和 Sn-Bi 等體系的合金。由于組裝工藝非常簡(jiǎn)單,目前焊料凸點(diǎn)應(yīng)用非常廣泛。由于近年來(lái) 人們環(huán)保意識(shí)提高,元器件無(wú)鉛化趨勢(shì)顯著,無(wú)鉛焊料被越來(lái)越多的使用,由于無(wú)鉛焊 料在鋪展能力和潤(rùn)濕性方面有不足,助焊劑作為輔助材料,與無(wú)鉛焊料配套使用,需求 量持續(xù)增長(zhǎng)。銅柱凸點(diǎn)將成為高密度、窄節(jié)距集成電路封裝市場(chǎng)主流方式。隨著先進(jìn)封裝對(duì)凸點(diǎn)間距 要求越來(lái)越小,為了避免橋接現(xiàn)象的發(fā)生,實(shí)現(xiàn)更高 I/O 密度,IBM 公司于 21 世紀(jì)初首 次提出了銅柱凸點(diǎn),申請(qǐng)了銅柱凸點(diǎn)結(jié)構(gòu)的相關(guān)專(zhuān)利。在焊料互連過(guò)程中,銅柱凸點(diǎn)能 夠保持一定的高度,既可以防止焊料的橋接現(xiàn)象發(fā)生,又可以掌控堆疊層芯片的間距高 度,銅柱凸點(diǎn)的高徑比不再受到陣列間距的限制,在相同的凸點(diǎn)間距下,可以提供更大 的支撐高度,大大改善了底部填充膠的流動(dòng)性。 電鍍法是凸塊制作使用最廣泛的方式。凸塊制作技術(shù)包括電鍍法、化學(xué)鍍法、蒸發(fā)法和 錫膏印刷法等,但以電鍍的方法應(yīng)用最為廣泛,因?yàn)槠淇梢宰龅礁〉某叽?,達(dá)到更高 的生產(chǎn)效率及更好的可靠性。借助光刻掩膜技術(shù)的電鍍法則具有更高的制備精度,可實(shí) 現(xiàn)凸點(diǎn)在晶圓上的直接制備,適合銅柱凸點(diǎn)的制備。回流焊仍為凸點(diǎn)鍵合主流方式,TCB 潛力大。根據(jù)銅柱凸點(diǎn)的節(jié)距不同,銅柱凸點(diǎn)的鍵 合方法可以分為回流焊和熱壓鍵合(TCB)兩種方式。對(duì)于節(jié)距較大的銅柱凸點(diǎn),可采用 回流焊方式完成凸點(diǎn)鍵合?;亓骱傅姆绞叫矢?,成本低,其缺點(diǎn)跟熱膨脹系數(shù)(CTE) 有關(guān),由于整個(gè)封裝由不同的材料組成,在回流爐中加熱會(huì)導(dǎo)致這些不同的材料以不同 的速度膨脹。當(dāng)芯片和基板膨脹和冷卻時(shí),CTE 的差異會(huì)導(dǎo)致翹曲。此外還會(huì)有芯片間 隙變化等問(wèn)題導(dǎo)致最終產(chǎn)品電氣性能差。5.2 底部填充工藝——分散應(yīng)力提升可靠性底部填充是芯片倒裝于基板封裝結(jié)構(gòu)中的重要工藝。其作用是 1)將芯片凸點(diǎn)位置的集 中應(yīng)力分散到底部填充體和塑封料中;2)可阻止焊料蠕變,并增加倒裝芯片連接的強(qiáng)度 與剛度;3)保護(hù)芯片免受環(huán)境的影響,如濕氣、離子污染等;4)使芯片抗機(jī)械振動(dòng)與 沖擊;5)極大改善焊點(diǎn)的熱疲勞可靠性。

目前,全球 NCP 的主要供應(yīng)商有漢高(Henkel)、納美仕(Namics)、長(zhǎng)瀨產(chǎn)業(yè)株式會(huì)社 (Nagase)、日立化成(Hitachi Chemical)、松下(Panasonic)。NCF 的供應(yīng)商主要包括 漢高(Henkel)、日立化成(Hitachi Chemical)、日東電工(Nitto Denko)、納美仕(Namics)、 住友(Sumitomo)。5.3 混合鍵合技術(shù)——賦能 3D 堆疊當(dāng)凸點(diǎn)儲(chǔ)存進(jìn)一步縮小到小于10~20um時(shí),焊錫球成為了工藝難點(diǎn)及缺陷的主要來(lái)源。 業(yè)界相應(yīng)提出了 Hybrid Bonding 工藝,可以解決 bump 間距小于 10 微米芯片間的鍵合 問(wèn)題,以實(shí)現(xiàn)更高的互連密度,此外 Hybrid Bonding 信號(hào)丟失率幾乎可以忽略不計(jì),在 高吞吐量,高性能計(jì)算領(lǐng)域優(yōu)勢(shì)明顯。混合鍵合(Hybrid Bonding)也稱(chēng)為 DBI(Direct Bond Interconnect,直接鍵合連接), Sony 最早在 CIS 中運(yùn)用了混合鍵合技術(shù),公司 2016 年將這一技術(shù)用于 Samsung Galaxy S7 的背照式 CIS(BI-CIS)中,大幅提高了鏡頭分辨率。混合鍵合中晶圓到晶圓(W2W)的工藝從晶圓加工到最終的 BEOL 互連級(jí)別開(kāi)始。沉積 合適的電介質(zhì)(SiON、SiCN 或 SiO2),然后將其蝕刻以在下面的金屬上形成通孔。沉積 阻隔層和種子層,然后鍍銅。銅 CMP 拋光覆蓋層,這樣使得后續(xù)在退火時(shí)銅膨脹時(shí),表 面微小的間隙可以被填補(bǔ)。緊接著清洗晶圓去除所有污染物,然后通過(guò)等離子體活化, 在電介質(zhì)上產(chǎn)生活性位點(diǎn)。兩個(gè)晶圓在鍵合機(jī)精確對(duì)齊,之后在退火爐中,銅熔合在一 起,進(jìn)行電接觸。最后,晶圓邊緣修整之后是背面晶圓研磨減薄圓,清潔和 CMP 拋光等, 并用表面聲學(xué)顯微鏡(SAM)檢查粘合晶圓中的空隙。

Hybrid Bonding 技術(shù)優(yōu)勢(shì)顯著,各大頭部廠商重視布局,但其生產(chǎn)成本仍然非常昂貴。 Cu 的熔點(diǎn)(1083℃)高、自擴(kuò)散速率低,難以實(shí)現(xiàn)低溫鍵合,Cu-Cu 直接鍵合需要在 400℃的高溫下才能充分發(fā)生原子擴(kuò)散,高溫會(huì)降低對(duì)中精度、損傷器件性能、增加設(shè)備 要求等問(wèn)題。目前實(shí)現(xiàn) Cu-Cu 低溫鍵合的方式主要為熱壓鍵合(TCB),混合鍵合工藝、 納米材料燒結(jié)工藝等??傮w來(lái)講各項(xiàng)工藝仍處于不斷發(fā)展進(jìn)步階段。
六、IC 載板——集成電路核心封裝材料
IC 載板:簡(jiǎn)而言之,是 IC 的載體,建立起 IC 與 PCB 之間的訊號(hào)鏈接;同時(shí)可以保護(hù)電 路、固定線路、并起到一定的散熱能力。根據(jù)材料及應(yīng)用的不同,封裝基板可以分為陶 瓷基板、金屬基板、有機(jī)基板及硅/玻璃基板(中間層)等。其中有機(jī)基板具有厚度薄、 線路密、對(duì)位精度要求高、電氣結(jié)構(gòu)更復(fù)雜等特點(diǎn),在高功能集成電路 I/O 端口數(shù)不斷 增加,對(duì)散熱性要求不斷提高的背景下,有機(jī)基板逐漸向多層化、薄型化和高密度化發(fā) 展,廣泛用于計(jì)算機(jī)、通信產(chǎn)品、消費(fèi)類(lèi)電子及汽車(chē)電子產(chǎn)品等領(lǐng)域。 剛性有機(jī)基板按照制板工藝分類(lèi),剛性有機(jī)基板可分為層壓(Lamination)基板和積層 (Build-up)基板兩大類(lèi)。I/O 端口數(shù)較多的高密度封裝器件需要采用積層基板,其關(guān)鍵 工藝是微孔技術(shù),先在芯板兩側(cè)對(duì)稱(chēng)制造絕緣層,然后通過(guò)光刻或激光鉆孔的方式在絕 緣層上形成微孔,后續(xù)通過(guò)鍍銅填充微孔,并在絕緣層表明形成電路圖形,重復(fù)這些積 層步驟可以制造多積層板。另外,在基板制造過(guò)程中需要用到的絕緣層材料目前主流是 日本味之素精細(xì)化學(xué)品公司生產(chǎn)的 ABF(Ajinomoto Build-up Film)。高端封裝基板海外壟斷,國(guó)產(chǎn)進(jìn)展迅速。目前全球封裝基板供應(yīng)商主要來(lái)自日本、韓國(guó) 和中國(guó)臺(tái)灣地區(qū)。其中以揖斐電株式會(huì)社(Ibiden)、新光電氣工業(yè)株式會(huì)社(Shinko)、 京瓷集團(tuán)(Kyocera)等為代表的日本公司技術(shù)實(shí)力非常強(qiáng),占據(jù)有機(jī)基板主要市場(chǎng),的 三星電機(jī)(SEMCO)、信泰(Simmtech)和中國(guó)臺(tái)灣的南亞科技(Nanya Technology)、 欣興電子(Unimicron)等公司由于具有產(chǎn)業(yè)鏈的優(yōu)勢(shì),占據(jù)著市場(chǎng)中的重要份額。中國(guó) 大陸地區(qū)封裝基板產(chǎn)業(yè)由于起步較晚,加之在關(guān)鍵原材料、設(shè)備及工藝等方面的差距, 因此目前在技術(shù)水平、工藝能力及市場(chǎng)占有率上相較日本、韓國(guó)和中國(guó)臺(tái)灣地區(qū)的知名 封裝基板產(chǎn)業(yè)仍然處于落后地位。在內(nèi)資企業(yè)中,興森科技、深南電路、珠海越亞、安 捷利等公司技術(shù)實(shí)力強(qiáng)勁。 根據(jù) Yole,2021 年全球先進(jìn)封裝基板市場(chǎng)規(guī)模 157 億美金,預(yù)計(jì) 2027 年有望增長(zhǎng) 到 296 億美金。2021 年 ABF 載板全球市場(chǎng)規(guī)模 48 億美金,前五大廠商揖斐電、欣興 電子、南亞科技、新光電氣和 AT&S 占據(jù)了全球接近 75%的份額。
七、核心公司
7.1 華峰測(cè)控:國(guó)內(nèi)測(cè)試設(shè)備龍頭,新品發(fā)力進(jìn)行時(shí)華峰測(cè)控是一家聚焦模擬和混合信號(hào)測(cè)試設(shè)備企業(yè),主要面向集成電路封測(cè)、晶圓制造 和集成電路設(shè)計(jì)企業(yè)等客戶。公司主要產(chǎn)品為半導(dǎo)體自動(dòng)化測(cè)試系統(tǒng)和測(cè)試系統(tǒng)配件, 公司的測(cè)試系統(tǒng)包括 STS8200 系列、STS8250 系列和 STS8300 系列等;測(cè)試系統(tǒng)配件 主要包括浮動(dòng) V/I 源表、時(shí)間測(cè)量、數(shù)字測(cè)量、及電器控制、交流 V/I 源表等關(guān)鍵測(cè)試 模塊。 華峰測(cè)控發(fā)布 2022 年業(yè)績(jī)快報(bào)。公司預(yù)計(jì) 2022 年全年實(shí)現(xiàn)營(yíng)收 10.71 億元, yoy+21.89%,歸母凈利潤(rùn) 5.25 億元,yoy+19.67%,扣非歸母凈利潤(rùn) 5.06 億元, yoy+16.38%。2022 年受大環(huán)境影響,疊加半導(dǎo)體市場(chǎng)景氣度持續(xù)低迷,給公司業(yè)績(jī)?cè)?長(zhǎng)帶來(lái)挑戰(zhàn),華峰測(cè)控堅(jiān)持既定的發(fā)展策略,不斷優(yōu)化產(chǎn)品結(jié)構(gòu),同時(shí)加強(qiáng)新產(chǎn)品研發(fā) 和市場(chǎng)開(kāi)拓,提高產(chǎn)品市占率,保證了業(yè)務(wù)的持續(xù)穩(wěn)定增長(zhǎng)。公司研發(fā)效率及產(chǎn)品力較強(qiáng)。公司在傳統(tǒng)模擬混合領(lǐng)域國(guó)內(nèi)領(lǐng)先,產(chǎn)品競(jìng)爭(zhēng)力強(qiáng),具有 較高的裝機(jī)存量和客戶服務(wù)基礎(chǔ);在新興應(yīng)用領(lǐng)域(GaN、PIM)獲取先機(jī),具有較強(qiáng)競(jìng) 爭(zhēng)力;在更大的 SoC 測(cè)試市場(chǎng)持續(xù)發(fā)力,迭代測(cè)試板卡增加覆蓋目標(biāo)市場(chǎng),打開(kāi) soc 測(cè) 試國(guó)產(chǎn)替代空間。 新品客戶拓展順利,持續(xù)迭代鞏固核心競(jìng)爭(zhēng)力。公司 2022 年下半年訂單在設(shè)計(jì)公司和 封測(cè)廠均有較好表現(xiàn),且訂單以 8300 產(chǎn)品為主。STS8200 已內(nèi)部迭代多次,隨著裝機(jī) 量的不斷提升,應(yīng)用范圍的不斷拓展,已經(jīng)成為了模擬、混合和功率器件測(cè)試的經(jīng)典平 臺(tái),未來(lái)還將不斷迭代;STS8300 從推出至今已有 3 年,客戶拓展順利,裝機(jī)量也在不 斷增加,客戶生態(tài)圈構(gòu)建進(jìn)展順利,內(nèi)部資源板卡也在加速迭代,應(yīng)用范圍也在不斷拓 展。下一代 SoC 測(cè)試設(shè)備基本系統(tǒng)已經(jīng)完成,資源板卡也在研發(fā)中。7.2 長(zhǎng)川科技:測(cè)試新品厚積薄發(fā),內(nèi)生外延鑄平臺(tái)龍頭長(zhǎng)川科技成立于 2008 年 4 月,并于 2017 年 4 月在深交所創(chuàng)業(yè)板上市,主要從事集成電 路專(zhuān)用設(shè)備的研發(fā)、生產(chǎn)和銷(xiāo)售,主要產(chǎn)品包括測(cè)試機(jī)、分選機(jī)、探針臺(tái)、AOI 設(shè)備和 自動(dòng)化設(shè)備。公司自成立以來(lái)始終專(zhuān)注于集成電路測(cè)試設(shè)備領(lǐng)域,行業(yè)深耕多年,掌握 集成電路測(cè)試設(shè)備相關(guān)核心技術(shù),技術(shù)水平領(lǐng)先。公司致力于提升我國(guó)半導(dǎo)體裝備技術(shù) 水平、積極推動(dòng)行業(yè)升級(jí),長(zhǎng)川科技先后被認(rèn)定為軟件企業(yè)、國(guó)家級(jí)高新技術(shù)企業(yè)、浙 江省重點(diǎn)企業(yè)研究院、省級(jí)高新技術(shù)企業(yè)研究開(kāi)發(fā)中心、杭州市企業(yè)高新技術(shù)研究開(kāi)發(fā) 中心。
營(yíng)收延續(xù)增長(zhǎng),盈利水平穩(wěn)步攀升。長(zhǎng)川科技 2022 年前三季度實(shí)現(xiàn)營(yíng)收 17.54 億元, yoy+64.09%,歸母凈利潤(rùn) 3.25 億元,yoy+151.33%,扣非歸母凈利潤(rùn) 2.62 億元, yoy+134.44%,前三季度綜合毛利率 54.06%,同比+2.63%,歸母凈利率 18.56%,同 比+6.44%。公司 2022Q3 單季度實(shí)現(xiàn)營(yíng)收 5.65 億元,yoy+42.91%,歸母凈利潤(rùn) 0.8 億 元,yoy+101.13%,單季度毛利率 50.38%,歸母凈利率 14.22%。此外,公司預(yù)計(jì) 2022 年全年實(shí)現(xiàn)歸母凈利潤(rùn) 4.5-5.2 億元,同比增長(zhǎng) 106.2%-138.3%。 公司持續(xù)研發(fā)并積極拓展新品及市場(chǎng)、與業(yè)內(nèi)知名客戶的深度合作,有效提升公司市場(chǎng) 競(jìng)爭(zhēng)力,業(yè)務(wù)規(guī)模穩(wěn)步擴(kuò)大。此外,公司持續(xù)優(yōu)化客戶結(jié)構(gòu),高端品類(lèi)收入占比持不斷 上升,營(yíng)業(yè)收入和凈利潤(rùn)的增長(zhǎng)率始終維持在較高水平。分產(chǎn)品來(lái)看,2021 年和 2022H1,公司測(cè)試機(jī)分別實(shí)現(xiàn)收入 4.89 億元和 4.79 億元,同 比增長(zhǎng) 174.3%和 90.2%,2022 年上半年銷(xiāo)售收入就接近 2021 年全年水平。分選機(jī)方 面,公司生產(chǎn)的分選機(jī)包括重力式分選機(jī)、平移式分選機(jī)、測(cè)編一體機(jī)等。2021 年和 2022H1 分別實(shí)現(xiàn)營(yíng)收 9.4 億元和 6.4 億元,同比增長(zhǎng) 67.6%和 68.4%。此外公司其他 業(yè)務(wù)(設(shè)備相關(guān)配件銷(xiāo)售及設(shè)備維護(hù)等)2021 年和 2022H1 分別實(shí)現(xiàn)營(yíng)收 8,566.8 萬(wàn)元 和 6,711.1 萬(wàn)元,同比增長(zhǎng) 28.3%和 68.4%。圍繞市場(chǎng)需求推進(jìn)研發(fā)創(chuàng)新,研發(fā)投入持續(xù)增長(zhǎng)。長(zhǎng)川科技 2022 年前三季度研發(fā)費(fèi)用 4.5 億元,同比增長(zhǎng) 95%,占營(yíng)收比重 25.7%,公司研發(fā)費(fèi)用率始終保持較高水平。圍 繞數(shù)字測(cè)試機(jī)、分選機(jī)等產(chǎn)品,公司拓展中高端市場(chǎng),實(shí)現(xiàn)營(yíng)收高速增長(zhǎng),產(chǎn)品結(jié)構(gòu)持 續(xù)改善。截至 2021 年底,公司研發(fā)人員 925 人,研發(fā)人員數(shù)量占比 54.9%,人均創(chuàng)收 89.7 萬(wàn)元。半導(dǎo)體測(cè)試系統(tǒng)市場(chǎng)趨勢(shì)向上,SoC 類(lèi)和數(shù)字集成電路測(cè)試設(shè)備占比較高。根據(jù) SEMI, 2022 年全球 IC 測(cè)試設(shè)備市場(chǎng)規(guī)模預(yù)計(jì)為 87.8 億美金,分產(chǎn)品來(lái)看,根據(jù) SEMI,2018 年國(guó)內(nèi) IC 測(cè)試設(shè)備市場(chǎng)規(guī)模約 57.0 億元,測(cè)試機(jī)/分選機(jī)/探針臺(tái)分別占比 63.1%/17.4%/15.2%。2020 年中國(guó)大陸 IC 測(cè)試設(shè)備市場(chǎng)規(guī)模 91.4 億元,2015-2020 年 CAGR 達(dá) 29.3%,高于同期全球水平。隨著我國(guó)集成電路產(chǎn)業(yè)規(guī)模不斷擴(kuò)大以及全球 產(chǎn)能向我國(guó)大陸地區(qū)加快轉(zhuǎn)移,集成電路各細(xì)分行業(yè)對(duì)測(cè)試設(shè)備需求還將不斷增長(zhǎng),國(guó) 內(nèi) IC 測(cè)試設(shè)備市場(chǎng)需求上升空間較大。 海外廠商主導(dǎo)全球半導(dǎo)體測(cè)試設(shè)備市場(chǎng),國(guó)產(chǎn)替代空間廣闊。集成電路檢測(cè)在測(cè)試精度、速度、效率和可靠性等方面要求高。全球先進(jìn)測(cè)試設(shè)備制造技術(shù)基本掌握在美國(guó)、日本 等集成電路產(chǎn)業(yè)發(fā)達(dá)國(guó)家廠商手中,市場(chǎng)格局呈現(xiàn)泰瑞達(dá)、愛(ài)德萬(wàn)、科休等廠商寡頭壟 斷。根據(jù) SEMI,泰瑞達(dá)、愛(ài)德萬(wàn)兩家公司半導(dǎo)體測(cè)試設(shè)備合計(jì)占全球測(cè)試機(jī)市場(chǎng)份額超 過(guò) 66%。通過(guò)打入國(guó)內(nèi)測(cè)試龍頭企業(yè),長(zhǎng)川科技、華峰測(cè)控等實(shí)現(xiàn)了部分半導(dǎo)體測(cè)試設(shè) 備國(guó)產(chǎn)替代,但營(yíng)收體量相比海外龍頭泰瑞達(dá)、愛(ài)德萬(wàn)近年來(lái)年收入規(guī)模超過(guò) 25 億美 金,長(zhǎng)川科技收入規(guī)模小于 5 億美金,仍有較大替代空間。內(nèi)生外延打造半導(dǎo)體測(cè)試設(shè)備綜合供應(yīng)商。長(zhǎng)川科技經(jīng)過(guò)多年研發(fā)和積累,目前已成為 國(guó)內(nèi)領(lǐng)先的集成電路專(zhuān)用測(cè)試設(shè)備供應(yīng)商,產(chǎn)品獲得了長(zhǎng)電科技、華天科技、通富微電、 士蘭微、華潤(rùn)微電子、日月光等多個(gè)一流集成電路企業(yè)的使用和認(rèn)可,已在國(guó)內(nèi)已具備 較大規(guī)模和一定品牌知名度。公司在鞏固和發(fā)展現(xiàn)有業(yè)務(wù)的同時(shí),重點(diǎn)開(kāi)拓了探針臺(tái)、 高端測(cè)試機(jī)產(chǎn)品、三溫分選機(jī)、AOI 光學(xué)檢測(cè)設(shè)備等相關(guān)封測(cè)設(shè)備,不斷拓寬產(chǎn)品線, 積極開(kāi)拓中高端市場(chǎng)。 外延方面,長(zhǎng)川科技于 2019 年完成收購(gòu) STI,收購(gòu)?fù)瓿珊笳w經(jīng)營(yíng)情況良好,2019 年 和 2020 年分別實(shí)現(xiàn)凈利潤(rùn) 313.20 萬(wàn)新元和 4,460.1 萬(wàn)元。2022 年長(zhǎng)川科技擬收購(gòu)長(zhǎng) 奕科技 97.6687%股權(quán)至持股 100%,長(zhǎng)奕科技主要經(jīng)營(yíng)性資產(chǎn)為 EXIS,EXIS 核心產(chǎn)品 為轉(zhuǎn)塔式分選機(jī),下游客戶包括博通、MPS、NXP、比亞迪半導(dǎo)體、通富微電、華天科技 等國(guó)內(nèi)外知名廠商。長(zhǎng)川科技與 EXIS 在銷(xiāo)售渠道、技術(shù)研發(fā)等領(lǐng)域具有較強(qiáng)的協(xié)同效 應(yīng),此次收購(gòu)將進(jìn)一步完善公司產(chǎn)品品類(lèi),提升公司盈利能力的同時(shí)鞏固核心競(jìng)爭(zhēng)力。 長(zhǎng)川科技公司當(dāng)前多維度拓寬業(yè)務(wù)布局,內(nèi)生有機(jī)增長(zhǎng)與外延收購(gòu)并舉,成長(zhǎng)可期。7.3 新益昌:國(guó)產(chǎn)固晶設(shè)備龍頭,Mini LED、半導(dǎo)體雙輪驅(qū)動(dòng)成長(zhǎng)深耕十六年,LED 固晶龍頭。新益昌成立于 2006 年,現(xiàn)為國(guó)內(nèi) LED 固晶機(jī)、鋁電解電 容器老化測(cè)試智能制造裝備領(lǐng)域的領(lǐng)先企業(yè)。至 2019 年,公司從單一的電子測(cè)試設(shè)備 和元器件的加工生產(chǎn)發(fā)展成擁有 LED 固晶機(jī)、半導(dǎo)體固晶機(jī)、電容器老化測(cè)試設(shè)備、鋰 電池設(shè)備等系列產(chǎn)品的大型智能制造裝備企業(yè)。公司 2018 年全球固晶設(shè)備市場(chǎng)的占有 率為 6%,位列全球第三,國(guó)內(nèi) LED 固晶機(jī)市占率約 28%,是國(guó)內(nèi) LED 固晶機(jī)領(lǐng)域的領(lǐng) 跑者。 新益昌 2022 年前三季度實(shí)現(xiàn)營(yíng)收 10.1 億元,yoy+26.4%,歸母凈利潤(rùn) 2.1 億元, yoy+32.8%,扣非歸母凈利潤(rùn) 1.9 億元,yoy+27.8%,前三季度綜合毛利率 44.2%,同 比+1.1%,凈利率 20.7%,同比+1.0%,公司 22Q3 單季度實(shí)現(xiàn)營(yíng)收 3.6 億元,yoy+19.5%, qoq+22.5%,歸母凈利潤(rùn) 0.86 億元,yoy+50.2%,qoq+68.4%;單季度毛利率 46.2%, yoy+3.3%,qoq+0.6%,凈利率 23.9%,yoy+4.8%,qoq+6.5%。

LED 固晶機(jī)和電容器老化設(shè)備是收入主要來(lái)源,半導(dǎo)體和鋰電池收入大幅提升。2022H1, 公司營(yíng)業(yè)收入 6.45 億元,其中 LED 固晶機(jī)收入 5.21 億元和電容器老化設(shè)備收入 1.02 億 元,LED 固晶機(jī)和電容器老化設(shè)備占比 61.93%。2021 年,半導(dǎo)體封裝收入 2.15 億元, 同比增速達(dá) 877%;鋰電池收入 0.31 億元,同比增速為 63.16%。終端應(yīng)用推進(jìn)超預(yù)期,奠定 Mini LED 商用元年。Mini LED 背光是液晶顯示技術(shù)路徑的 重要?jiǎng)?chuàng)新方向,Mini LED 顯示是繼 LED 戶內(nèi)外顯示屏、LED 小間距之后 LED 顯示技術(shù) 升級(jí)的新產(chǎn)品。當(dāng)前,蘋(píng)果、三星等多家品牌廠商都已開(kāi)始推出 Mini LED 背光相關(guān)產(chǎn)品, 行業(yè)風(fēng)向標(biāo)的入局,推動(dòng) Mini LED 商業(yè)化加速。當(dāng)前 Mini LED 背光方案已經(jīng)進(jìn)入爆發(fā) 期,預(yù)計(jì) 2023 年開(kāi)始將有更多的相關(guān)產(chǎn)品出現(xiàn)。Mini RGB 直顯注重商用顯示器等市場(chǎng) 需求,在商業(yè)顯示、電子產(chǎn)品裝飾燈、車(chē)尾燈或氣氛燈等領(lǐng)域具有優(yōu)勢(shì),亦逐漸替代傳 統(tǒng)的小間距等超大尺寸顯示方案。 超級(jí)電容器持續(xù)滲透,鋰電池帶來(lái)成長(zhǎng)新動(dòng)能。超級(jí)電容器作為新型高效儲(chǔ)能器件,廣 泛應(yīng)用于國(guó)防軍工、軌交、發(fā)電、消費(fèi)電子等重要領(lǐng)域。新益昌在電容器設(shè)備領(lǐng)域已成 為國(guó)內(nèi)知名電容器廠商首選設(shè)備品牌之一。2020 年國(guó)內(nèi)超級(jí)電容器市場(chǎng)規(guī)模為 155 億 元,公司有望受益國(guó)內(nèi)超級(jí)電容持續(xù)滲透率帶來(lái)的設(shè)備需求增長(zhǎng)。受新能源汽車(chē)帶動(dòng), 鋰電池尤其是動(dòng)力鋰電池產(chǎn)量保持高速增長(zhǎng)態(tài)勢(shì),公司自 2017 年開(kāi)始切入鋰電池設(shè)備領(lǐng)域,產(chǎn)品已涵蓋卷繞機(jī)、制片機(jī)、及制片卷繞一體機(jī)等鋰電池設(shè)備,鋰電池設(shè)備或?qū)?增厚公司營(yíng)收空間。切入半導(dǎo)體固晶機(jī)打開(kāi)新成長(zhǎng)空間。Mini LED 對(duì)固晶設(shè)備的更高精度要求,拉動(dòng)了傳統(tǒng) LED 封裝產(chǎn)線升級(jí),新益昌作為國(guó)內(nèi) LED 固晶機(jī)龍頭,技術(shù)實(shí)力領(lǐng)先,且具備核心零部 件自研自產(chǎn)能力,GS300、HAD8606 系列產(chǎn)品逐漸成為主流的 LED 轉(zhuǎn)移設(shè)備方案。三星、 鴻利智匯、國(guó)星光電、瑞豐光電等均為公司客戶,預(yù)計(jì)將有更多封測(cè)廠商跟進(jìn)封裝轉(zhuǎn)移 設(shè)備升級(jí),公司將直接受益。在 LED 固晶機(jī)基礎(chǔ)上,公司逐步向半導(dǎo)體固晶機(jī)躍遷,產(chǎn) 品已成功導(dǎo)入晶導(dǎo)微、燦瑞科技、揚(yáng)杰科技、通富微、固锝電子等知名公司,短期受益 固晶機(jī)國(guó)產(chǎn)替代,長(zhǎng)期有望橫向拓展,擁抱更廣闊市場(chǎng)空間。 固晶機(jī)和焊線機(jī)是封裝中占比較高的設(shè)備。封裝設(shè)備包括固晶機(jī)、焊線機(jī)、電鍍?cè)O(shè)備、 減薄機(jī)、劃片機(jī)等。半導(dǎo)體封裝測(cè)試工藝流程包括磨片、劃片、裝片、固晶、塑封等多 個(gè)環(huán)節(jié),其中設(shè)備價(jià)值量占比最高的為固晶機(jī)和焊線機(jī),占比各為 28%,兩者對(duì)芯片生 產(chǎn)過(guò)程中的良率控制至關(guān)重要。封裝市場(chǎng)國(guó)產(chǎn)化率低,外資龍頭占據(jù)主導(dǎo)地位。封測(cè)設(shè)備市場(chǎng)龍頭先發(fā)優(yōu)勢(shì)大,在該領(lǐng) 域內(nèi)積累了數(shù)十年的經(jīng)驗(yàn)。且下游客戶對(duì)精度、穩(wěn)定性和一致性要求嚴(yán)格,認(rèn)證壁壘極 高,造就了行業(yè)內(nèi)較高的集中度,龍頭均為外資公司,如 ASMPT、K&S、Advantest 等。 根據(jù) MIR DATABANK 統(tǒng)計(jì),2021 年封測(cè)設(shè)備各環(huán)節(jié)綜合國(guó)產(chǎn)化率僅為 10%,其中焊線 機(jī)、固晶機(jī)、劃片機(jī)環(huán)節(jié)的國(guó)產(chǎn)化率最低,為 3%。預(yù)計(jì) 2025 年末綜合國(guó)產(chǎn)化率有望達(dá) 到 18%,國(guó)產(chǎn)化空間廣闊。新益昌布局半導(dǎo)體固晶機(jī),卡位國(guó)產(chǎn)替代歷史性機(jī)遇。由于半導(dǎo)體封裝和 LED 封裝在流 程上具有相似性,也同樣有“固晶”這道工序,公司憑借 LED 固晶領(lǐng)域深厚的研發(fā)實(shí)力 和持續(xù)的技術(shù)創(chuàng)新能力,于 2017 年開(kāi)展半導(dǎo)體封裝設(shè)備的研發(fā),并成功推出半導(dǎo)體固 晶機(jī)設(shè)備。公司當(dāng)前產(chǎn)品以功率封裝為主,現(xiàn)已成功導(dǎo)入晶導(dǎo)微、燦瑞科技、揚(yáng)杰科技、 通富微電、固锝電子等知名公司。2021 年公司半導(dǎo)體固晶機(jī)業(yè)務(wù)營(yíng)收達(dá) 2.15 億元,同 比增速達(dá) 877%。在當(dāng)前國(guó)內(nèi)半導(dǎo)體產(chǎn)業(yè)鏈加速推動(dòng)國(guó)產(chǎn)替代的大趨勢(shì)下,公司有望深 度受益,鞏固競(jìng)爭(zhēng)優(yōu)勢(shì),進(jìn)一步推動(dòng)半導(dǎo)體固晶機(jī)國(guó)產(chǎn)替代。

焊線機(jī)技術(shù)門(mén)檻高,價(jià)值量占封裝設(shè)備市場(chǎng) 32%。引線鍵合作為封裝環(huán)節(jié)最關(guān)鍵的步 驟之一,具有極高的技術(shù)壁壘,使用的焊線設(shè)備對(duì)速度、精度、穩(wěn)定性有嚴(yán)格要求,核 心難點(diǎn)在于控制引線在焊盤(pán)的鍵合質(zhì)量以及引線在三維空間的線弧軌跡。根據(jù) SEMI 研 究統(tǒng)計(jì),在半導(dǎo)體前道與后道工序的全生命周期制程中,封裝設(shè)備約占半導(dǎo)體設(shè)備市場(chǎng) 規(guī)模的 6%,其中焊線機(jī)占封裝設(shè)備市場(chǎng)規(guī)模的 32%。按此測(cè)算,焊線機(jī)占半導(dǎo)體制程 設(shè)備市場(chǎng)規(guī)模的比重為 1.92%,全球焊線機(jī)市場(chǎng)規(guī)模由 2015 年的 7.01 億美元增長(zhǎng)至 2022 年的 21.95 億美元,2015-2022 年年均增速為 17.71%。 焊線機(jī)市場(chǎng)高度集中,CR3 超 95%。焊線機(jī)市場(chǎng)被外資長(zhǎng)期壟斷,市占率前三位 K&S、 ASM 和 Kaijo,市占率分別為 60%、30%、8%。K&S 全稱(chēng)為 Kulicke&Soffa,自動(dòng)焊線 機(jī)產(chǎn)品近五年的市場(chǎng)占有率都超過(guò)了 60%,近年來(lái)通過(guò)戰(zhàn)略收購(gòu)和自主研發(fā),增加了先 進(jìn)封裝、電子裝配、楔焊機(jī)等產(chǎn)品,同時(shí)配合其核心產(chǎn)品擴(kuò)大其耗材的產(chǎn)品范圍,進(jìn)一 步鞏固了其焊線機(jī)龍頭地位。7.4 長(zhǎng)電科技:國(guó)產(chǎn)封測(cè)龍頭,先進(jìn)封裝注入成長(zhǎng)新動(dòng)力長(zhǎng)電科技是國(guó)內(nèi)封裝測(cè)試龍頭廠商,主營(yíng)業(yè)務(wù)為集成電路、分立器件的封裝與測(cè)試。為 海內(nèi)外客戶提供涵蓋封裝設(shè)計(jì)、焊錫凸塊、針探、組裝、測(cè)試、配送等一整套半導(dǎo)體封 裝測(cè)試解決方案。目前公司產(chǎn)品主要有 QFN/DFN、BGA/LGA、FCBGA/LGA、FCOL、SiP、 WLCSP、Bumping、MEMS、Fan-out eWLB、POP、PiP 及傳統(tǒng)封裝 SOP、SOT、DIP、TO 等多個(gè)系列。 八大基地布局,全面覆蓋高中低端產(chǎn)品。公司目前可以分為長(zhǎng)電本部及旗下子公司星科 金朋與長(zhǎng)電韓國(guó)。長(zhǎng)電本部包括江陰基地、滁州廠、宿遷廠與長(zhǎng)電先進(jìn)四個(gè)生產(chǎn)基地, 星科金朋包括星科金朋江陰、星科金朋新加坡與星科金朋韓國(guó),此外長(zhǎng)電韓國(guó)(JSCK) 為長(zhǎng)電科技在韓國(guó)新設(shè)立的 SIP 封裝廠,主要是為了配合星科金朋韓國(guó)(SCK),共同開(kāi) 拓國(guó)內(nèi)外客戶。長(zhǎng)電科技發(fā)布 2022 年報(bào)。公司 2022 年全年實(shí)現(xiàn)營(yíng)收 337.62 億元,yoy+10.69%,歸 母凈利潤(rùn) 32.31 億元,yoy+9.20%,扣非歸母凈利潤(rùn) 28.30 億元,yoy+13.81%,全年 綜合毛利率 17.04%,同比-1.37%,凈利率 9.57%,同比-0.13%。面對(duì)半導(dǎo)體市場(chǎng)周期 下行,公司通過(guò)積極靈活調(diào)整訂單結(jié)構(gòu)和產(chǎn)能布局,推進(jìn)產(chǎn)品結(jié)構(gòu)優(yōu)化,加速?gòu)南M(fèi)類(lèi) 向市場(chǎng)需求快速增長(zhǎng)的汽車(chē)電子,5G 通信,高性能計(jì)算、存儲(chǔ)等高附加值市場(chǎng)的戰(zhàn)略布 局,持續(xù)聚焦高性能封裝技術(shù)高附加值應(yīng)用,實(shí)現(xiàn)了穩(wěn)健的增長(zhǎng)。 分下游應(yīng)用領(lǐng)域來(lái)看,公司 2022 年?duì)I收中通訊電子占比 39.3%、消費(fèi)電子占比 29.3%、 運(yùn)算電子占比 17.4%、工業(yè)及醫(yī)療電子占比 9.6%、汽車(chē)電子占比 4.4%,與去年同期相 比消費(fèi)電子下降 4.5 個(gè)百分點(diǎn),運(yùn)算電子增長(zhǎng) 4.2 個(gè)百分點(diǎn),汽車(chē)電子增長(zhǎng) 1.8 個(gè)百分 點(diǎn)。測(cè)試領(lǐng)域,公司引入 5G 射頻,車(chē)載芯片,高性能計(jì)算芯片等更多的測(cè)試業(yè)務(wù),相關(guān) 收入同比增長(zhǎng)達(dá)到 25%。


IPO 募投加碼集成電路測(cè)試產(chǎn)能擴(kuò)充及研發(fā)投入。公司上市募集資金約 6.1 億元,用于 無(wú)錫偉測(cè)擴(kuò)產(chǎn)及集成電路測(cè)試研發(fā)中心項(xiàng)目,及補(bǔ)充流動(dòng)資金。公司持續(xù)投入研發(fā),提 升技術(shù)水平,增厚壁壘,保持核心競(jìng)爭(zhēng)力,在強(qiáng)大的客戶資源基礎(chǔ)上,公司測(cè)試產(chǎn)能的 擴(kuò)充,為長(zhǎng)期發(fā)展提供重要支撐。7.7 甬矽電子:封測(cè)界后起之秀,聚焦中高端業(yè)務(wù)聚焦先進(jìn)封裝,產(chǎn)品結(jié)構(gòu)完善優(yōu)質(zhì)。甬矽電子成立于 2017 年 11 月,主要聚焦集成電路 封測(cè)中的先進(jìn)封裝領(lǐng)域,主要終端包括消費(fèi)類(lèi)電子、汽車(chē)電子、工規(guī)產(chǎn)品等。公司在國(guó) 內(nèi)獨(dú)立封測(cè)企業(yè)中排名第 11,在內(nèi)資獨(dú)立封測(cè)企業(yè)中排名第 6,技術(shù)實(shí)力和規(guī)模均在前 列。且銷(xiāo)售收入主要來(lái)自于中高端封裝產(chǎn)品,并在射頻前端芯片封測(cè)、AP 類(lèi) SoC 芯片封 測(cè)、觸控 IC 芯片封測(cè)、WiFi 芯片封測(cè)、藍(lán)牙芯片封測(cè)、MCU 等物聯(lián)網(wǎng)(IoT)芯片封測(cè) 等新興應(yīng)用領(lǐng)域具有良好的市場(chǎng)口碑和品牌知名度。 堅(jiān)持研發(fā),技術(shù)獨(dú)立自主。截至 2022 年 6 月 30 日,公司已經(jīng)取得的專(zhuān)利共 186 項(xiàng),其 中發(fā)明專(zhuān)利 88 項(xiàng)、實(shí)用新型 96 項(xiàng)、外觀專(zhuān)利 2 項(xiàng)。2019 年-2022 年 6 月,公司研發(fā)投 入金額分別為 2,826.50 萬(wàn)元、4,916.63 萬(wàn)元、9,703.86 萬(wàn)元和 6,021.12 萬(wàn)元,呈穩(wěn)定 上升趨勢(shì)。未來(lái)公司將根據(jù)自身發(fā)展戰(zhàn)略和市場(chǎng)需求情況,繼續(xù)加大研發(fā)投入力度,持 續(xù)完善研發(fā)人員儲(chǔ)備戰(zhàn)略,提高研發(fā)人員的專(zhuān)業(yè)能力。公司在高密度細(xì)間距凸點(diǎn)倒裝產(chǎn) 品(FC 類(lèi)產(chǎn)品)、系統(tǒng)級(jí)封裝產(chǎn)品、4G/5G 射頻功放封裝技術(shù)、高密度大尺寸框架封裝 產(chǎn)品、MEMS 封裝產(chǎn)品、IC 測(cè)試等領(lǐng)域均具擁有核心技術(shù),且穩(wěn)定量產(chǎn)。IPO 募集資金投資額 15 億元,分別用于高密度 SiP 射頻模塊封測(cè)項(xiàng)目、集成電路先進(jìn) 封裝晶圓凸點(diǎn)產(chǎn)業(yè)化項(xiàng)目。一方面緩解產(chǎn)能瓶頸,提高市占率,另一方面可完善倒裝類(lèi) 封裝產(chǎn)品制程,補(bǔ)全公司生產(chǎn)工藝短板,為 Fan-Out、WLCSP 等擬開(kāi)發(fā)的先進(jìn)封裝產(chǎn)品 提供工藝支持。公司現(xiàn)有的 SiP 等先進(jìn)封裝技術(shù)是 Chiplet 模式的重要實(shí)現(xiàn)基礎(chǔ),Chiplet 模式的興起有望驅(qū)動(dòng)先進(jìn)封裝市場(chǎng)快速發(fā)展。公司在 SiP 領(lǐng)域具備豐富的技術(shù)積累,同 時(shí)通過(guò)實(shí)施晶圓凸點(diǎn)產(chǎn)業(yè)化項(xiàng)目布局“扇入型封裝”(Fan-in)、“扇出型封裝”(Fan-out)、 2.5D、3D 等晶圓級(jí)和系統(tǒng)級(jí)封裝應(yīng)用領(lǐng)域,為進(jìn)一步拓展異構(gòu)封裝領(lǐng)域打下基礎(chǔ)。7.8 興森科技:IC 載板國(guó)產(chǎn)替代拓荒者興森科技成立于 1999 年,目前主營(yíng)業(yè)務(wù)圍繞 PCB 以及半導(dǎo)體這兩大業(yè)務(wù)主線開(kāi)展。興 森科技一直致力于國(guó)內(nèi)外高科技電子企業(yè)和科研單位的服務(wù),產(chǎn)品下游應(yīng)用領(lǐng)域十分之 廣。另外公司也通過(guò) PCB 樣板所積累下來(lái)的領(lǐng)先技術(shù)優(yōu)勢(shì),深入 IC 載板領(lǐng)域,并開(kāi)拓 半導(dǎo)體測(cè)試板業(yè)務(wù);同時(shí)積極拓展 PCB 批量板產(chǎn)能,與 PCB 樣板業(yè)務(wù)實(shí)現(xiàn)協(xié)同,將自身 打造成 PCB 一站式解決廠商;此外半導(dǎo)體測(cè)試板及 IC 封裝基板也幫助公司實(shí)現(xiàn)半導(dǎo)體 封裝測(cè)試領(lǐng)域的國(guó)產(chǎn)替代領(lǐng)先者。 興森科技:乘勝追擊,產(chǎn)能擴(kuò)張正當(dāng)時(shí)。目前公司主要在建項(xiàng)目包括珠海興科項(xiàng)目、宜 興硅谷印刷板二期工程項(xiàng)目和廣州 FCBGA 封裝基板生產(chǎn)和研發(fā)基地項(xiàng)目。珠海興科項(xiàng)目 中,第一條 IC 封裝基板的產(chǎn)線(1.5 萬(wàn)平方米/月)進(jìn)展順利,預(yù)計(jì)年底實(shí)現(xiàn)單月 90%以上的產(chǎn)能利用率。宜興生產(chǎn)基地二期工程完全達(dá)產(chǎn)后,將提供 96 萬(wàn)平方米/年的產(chǎn)能, 產(chǎn)品將應(yīng)用于 5G 通信、Mini LED、服務(wù)器和光模塊等領(lǐng)域。廣州生產(chǎn)基地于 2021 年新 增了月產(chǎn) 1.5 萬(wàn)平方米的中、高端、多層樣板的產(chǎn)線,目前,其中 7,000 萬(wàn)平方米/月產(chǎn) 能已達(dá)產(chǎn)。公司在 2022 年宣布了位語(yǔ)廣州和珠海兩個(gè) FCBGA 項(xiàng)目投資,廣州項(xiàng)目預(yù)計(jì) 于 2023 年底前后建成,目前正在進(jìn)行前期建設(shè)準(zhǔn)備工作和設(shè)備采購(gòu)。

興森科技為國(guó)內(nèi)為數(shù)不多的 IC 載板廠商,大力擴(kuò)產(chǎn)載板產(chǎn)能用以滿足行業(yè)需求,進(jìn)行 國(guó)產(chǎn)替代及對(duì)新增市場(chǎng)的占領(lǐng)。根據(jù)公司公告,廣州興科 BT 載板、廣州和珠海 FCBGA 封裝基板項(xiàng)目的整體投資規(guī)模為 102 億,有望看到公司未來(lái)實(shí)現(xiàn) IC 載板產(chǎn)品線的全覆 蓋,隨著公司新增載板產(chǎn)能逐步爬坡投產(chǎn),以及行業(yè)當(dāng)前供需緊張的態(tài)勢(shì),有望充分受 益國(guó)內(nèi)半導(dǎo)體的巨大封裝需求,加速提升載板業(yè)務(wù)的收入規(guī)模和貢獻(xiàn)業(yè)績(jī)。 公司 2022 年全年實(shí)現(xiàn)營(yíng)收 53.54 億,同比增長(zhǎng) 6.23%;歸母凈利潤(rùn) 5.26 億,同比下降 15.42%,主要是因?yàn)?PCB 行業(yè)面臨需求不振和競(jìng)爭(zhēng)加劇的雙重壓力,增長(zhǎng)不達(dá)預(yù)期, FCBGA 封裝基板項(xiàng)目仍處于建設(shè)階段,未產(chǎn)生收入貢獻(xiàn),但整體人工成本、研發(fā)投入、 試生產(chǎn)損耗等對(duì)公司利潤(rùn)形成較大拖累,F(xiàn)CBGA 封裝基板項(xiàng)目全年費(fèi)用投入約 1.02 億 元。興森 2022 年 12 月 17 日公告,深圳市興森快捷電路科技股份有限公司同意公司全資子 公司廣州興森投資有限公司以 176.61 億日元(稅前,按 20.3 日元=1 元人民幣的匯率計(jì) 算為 8.7 億元人民幣,定價(jià)基準(zhǔn)日為 2022 年 6 月 30 日)作為基礎(chǔ)購(gòu)買(mǎi)價(jià)格(將就凈資 產(chǎn)變動(dòng)額等調(diào)整項(xiàng)對(duì)基礎(chǔ)購(gòu)買(mǎi)價(jià)格進(jìn)行調(diào)整)收購(gòu)揖斐電株式會(huì)社(Ibiden Co,Ltd.) 持有的揖斐電電子(北京)有限公司 100%股權(quán)。本次交易完成后,興森投資將持有北 京揖斐電 100%的股權(quán),北京揖斐電將成為公司全資孫公司,納入公司合并報(bào)表范圍。 未來(lái),公司計(jì)劃引入其他戰(zhàn)略股東入股北京揖斐電共謀發(fā)展,持續(xù)加大研發(fā)力度,并增 加對(duì)先進(jìn)設(shè)備和工藝的投資,推進(jìn)產(chǎn)品和技術(shù)的持續(xù)升級(jí),提高其產(chǎn)品附加值。 北京揖斐電是揖斐電于 2000 年 12 月在北京經(jīng)濟(jì)技術(shù)開(kāi)發(fā)區(qū)注冊(cè)成立的全資子公司,其 專(zhuān)注于面向移動(dòng)通訊用印制電路板產(chǎn)品,以高性能微小導(dǎo)孔和微細(xì)線路的高密度互連電 路板(普通 HDI 和 Anylayer HDI)為主要產(chǎn)品,主要應(yīng)用于智能手機(jī)、可穿戴設(shè)備、平 板電腦等消費(fèi)類(lèi)終端電子產(chǎn)品,與國(guó)內(nèi)外主流手機(jī)廠商在高端印制電路板產(chǎn)品領(lǐng)域建立 了穩(wěn)定的合作關(guān)系。近年來(lái)持續(xù)投入以促進(jìn)產(chǎn)品和技術(shù)升級(jí),開(kāi)發(fā)并量產(chǎn) mSAP 流程的 類(lèi)載板(SLP)和模組類(lèi)封裝基板產(chǎn)品,豐富了產(chǎn)品線并進(jìn)一步鞏固了其在客戶群體中高 端印制電路板領(lǐng)先廠商地位。(本文僅供參考,不代表我們的任何投資建議。如需使用相關(guān)信息,請(qǐng)參閱報(bào)告原文。)精選報(bào)告來(lái)源:【未來(lái)智庫(kù)】。「鏈接」